趣味新聞網 logo



據報道 由imec和ASML組成的imec-ASML 聯閤High NA實驗室在開發圖案化和蝕刻工藝、篩選新的光刻膠和底層材料、改進計量和光掩模技術方麵取得瞭進展。“Imec 正在與 ASML 就 … 下一代EUV光刻機取得重大進展 - 趣味新聞網


據報道 由imec和ASML組成的imec-ASML 聯閤High NA實驗室在開發圖案化和蝕刻工藝、篩選新的光刻膠和底層材料、改進計量和光掩模技術方麵取得瞭進展。“Imec 正在與 ASML 就 … 下一代EUV光刻機取得重大進展


發表日期 5/4/2022, 9:34:31 AM



     趣味新聞網記者特別報導 : 據報道,由imec和ASML組成的imec-ASML 聯閤High NA實驗室在開發圖案化和蝕刻工藝、篩選新的光刻膠和底層材料、改進計量和光掩模技術方麵取得瞭進展。“Imec 正在與 ASML 就 … .....


    

據報道,由imec和ASML組成的imec-ASML 聯閤High NA實驗室在開發圖案化和蝕刻工藝、篩選新的光刻膠和底層材料、改進計量和光掩模技術方麵取得瞭進展。

“Imec 正在與 ASML 就 High-NA 技術展開閤作,因為 ASML 正在構建其第一台原型 0.55NA EUV 光刻掃描儀 EXE:5000,”Imec 首席執行官 Luc Van den Hove 日前錶示,“與當前的 0.33NA EUV 光刻相比,High-NA EUV 光刻預計將打印2nm 以上的邏輯芯片所需的最關鍵功能具有更少的圖案化步驟。我們的職責是與全球圖案化生態係統緊密閤作,確保及時提供先進的抗蝕材料、光掩模、計量技術、(變形)成像策略和圖案化技術――充分受益於 High-NA光刻機提供的分辨率增益。”

在今年的 SPIE 先進光刻會議上,這個聯閤實驗室將在 High-NA EUV 光刻領域有 12 個貢獻。

減少圖案粗糙度和缺陷的工藝和材料優化

在對第一個High-NA EUV 原型係統的預期中,Imec 正在提高當前 0.33NA EUV 圖案化技術的分辨率能力,以預測用於印刷細綫/空間和接觸孔的更薄抗蝕劑的性能。除瞭圖案塌陷之外,imec 還將綫邊緣粗糙度 (LER) 確定為用薄抗蝕劑膜圖案化綫/空間的最關鍵參數之一,並提齣瞭減輕圖案粗糙度的策略(例如,通過調整照明和掩模條件)。

此外,imec 及其材料供應商展示瞭在High NA 條件下篩選具有良好圖案轉移能力的新型光刻膠材料(如金屬氧化物光刻膠)和底層的結果。

他們還提齣瞭專門的圖案化和蝕刻方案,旨在減少缺陷和隨機印刷失敗。(論文編號 12051-7;12055-4;12056-28)

定製計量以應對薄抗蝕劑薄膜和高吞吐量的小特徵尺寸

在嚮更小的特徵尺寸(例如,10nm 寬的綫)和更薄的抗蝕劑膜(20nm 及以下)的轉變,産業迎來瞭兩個重要方麵對計量提齣瞭挑戰。首先,計量學傢需要應對 CD-SEM 工具大幅降低的圖像對比度;其次,需要對小於 10nm 的特徵進行成像(考慮到疊加性能、LER 和隨機打印失敗),需要具有更高分辨率的計量工具。

Imec 高級圖案化項目總監 Kurt Ronse 說:“Imec 及其閤作夥伴采取瞭幾個方嚮來應對這些挑戰。他們錶明,通過調整現有計量工具的操作條件,可以顯著提高圖像對比度。在深度學習框架(如基於深度學習的去噪)的支持下,專用軟件進一步增強瞭圖像分析和缺陷分類。最後,通過與計量供應商的密切閤作,imec 探索瞭用於可靠測量小特徵的替代計量技術,例如高通量掃描探針計量和低壓像差校正 SEM。” (論文編號 12053-2;12053-3;12053-5;12053-22;12053-43;12053-64)

解決高數值孔徑 EUV 掩模的特定挑戰

Imec 模擬瞭 EUV 掩模缺陷(更具體地說,掩模多層波紋和吸收綫邊緣粗糙度)對 22nm 節距綫/空間成像的影響。

“從這項研究中可以清楚地看齣,掩模缺陷對最終晶圓圖案的影響越來越大,這錶明掩模設計規則需要變得更加嚴格,”Kurt Ronse 補充道。“這些發現使我們能夠確定High NA EUV 光刻的掩模規格。此外,我們與 ASML 和我們的材料供應商一起,探索用於承載該圖案的掩模吸收器的新型材料和架構。在這種情況下,我們首次進行瞭曝光,以評估使用低 n 衰減相移掩模對通孔層和綫/空間成像的影響。具有低 n 吸收材料的掩模作為當前使用的 Ta 基空白的替代品被證明可以改善晶片上的掩模 3D 效果,從而有助於增高High NA 焦深。” (論文編號 12051-37;12051-51;12051-56)。

為下一代EUV光刻機做好準備

半導體行業正在全速前進以開發高數值孔徑(high-NA )EUV,但開發下一代光刻係統和相關基礎設施仍然是一項艱巨而昂貴的任務。

一段時間以來,ASML 一直在開發其高數值孔徑 (high-NA) EUV光刻機――當今基於 0.33 數值孔徑透鏡的 EUV 光刻係統的後續産品。ASML 新的高數值孔徑 EUV 係統涉及一種全新的工具,具有 0.55 數值孔徑的鏡頭,分辨率為 8 納米,而現有工具的分辨率為 13 納米。分析師錶示,0.55 NA 的EUV 工具的目標是 2023 年的 3nm,但我們認為該設備不太可能在 2025 年之前投入生産。

據 KeyBanc 稱,一台High NA 光刻機的成本預計為 3.186 億美元,而今天的 EUV 係統則為 1.534 億美元。但事實上,光刻係統的總成本可能會更高,因為我們需要其他新設備、新光掩模和不同的光刻膠來實現高數值孔徑 EUV。各種供應商都在研究這些技術,但在這一點上仍然存在一些差距。

光刻設備用於對芯片上的微小特徵進行圖案化,使芯片製造商能夠在高級節點上開發更小、更快的設備,並將更多的特徵封裝到單個芯片或封裝中。直到 2018 年,芯片製造商都使用傳統的光學光刻掃描儀在前沿芯片上對特徵進行圖案化。但在先進的節點上,光刻的圖案化過程變得過於復雜,這就帶來瞭對 EUV的需求,但這還遠遠不夠。

ASML使用13.5nm 波長的 0.33 NA EUV 光刻機正被三星和台積電用於生産 7nm 和 5nm 芯片。英特爾也為先進的芯片生産加入瞭 ASML 的 EUV 設備。三星和 SK 海力士正在使用 EUV 進行 DRAM 生産。

芯片製造商將長期使用今天的 EUV。但在某些時候――例如在 3nm 節點之外的某個節點,使用現有的 EUV 對未來的芯片進行圖案化將變得更為睏難。這就是High NA 設備適閤的地方。

首先,英特爾認為該技術至關重要,並宣布計劃安裝 ASML 的第一台 0.55 High NA EUV 光刻機。

英特爾高級副總裁兼技術開發部總經理 Ann Kelleher 錶示:“這將帶來大量的學習,但也將使我們能夠繼續嚮最小的幾何結構發展。”

三星和台積電也將購買高數值孔徑工具。但是嚮High NA EUV的過渡涉及各種新的和移動的部件。“High

NA 重用瞭 0.33 NA EUV 的大量知識,”Cowen 的分析師 Krish Sankar 說。“EUV 的引入對光刻膠來說更具挑戰性。嚮High NA 的遷移也更具進化性,光刻膠的性能將不斷提高,以滿足未來節點的成像要求。高數值孔徑的光學元件是新的,但它們仍然是反射光學元件。”

為什麼是High NA?

在晶圓廠中,芯片製造商利用光刻和其他設備來生産芯片。使用在設計階段生成的文件格式,光掩模設備創建一個掩模。掩膜是給定芯片設計的主模闆,最終被運送到晶圓廠。從那裏,矽片被插入到塗布機/顯影劑係統中。該係統將一種稱為光刻膠的光敏材料倒在矽片上。

然後,將掩模和矽片插入光刻掃描儀中。在操作中,掃描儀産生光,該光通過係統中的一組投影光學器件和掩模傳輸。光擊中光刻膠,在矽片上形成圖案。

圖 1:典型的光刻處理步驟序列示例。資料來源:Chris Mack,Fractilia

多年來,芯片製造商使用基於193nm 波長的光刻工具來圖案化高級芯片功能。通過各種技術,芯片製造商將 193 納米光刻技術擴展到 7 納米。但是在 5nm 時,使用這些技術太復雜瞭。

“打印 50nm、40nm 或 30nm 特徵對於 193nm 光刻來說是一項固有的艱巨任務,” D2S首席執行官 Aki Fujimura 說。“在 13.5 納米波長下使用 EUV 應該會更容易、更可行。”

2018 年,三星和台積電引入瞭 ASML 的 0.33 NA EUV 掃描儀,用於製造 7nm 芯片,最近是 5nm。ASML 的 EUV 掃描儀支持 13 納米分辨率,吞吐量為每小時 135 至 145 個晶圓 (wph)。

但 EUV 並不完美。該過程有時會導緻不必要的變化和缺陷。係統正常運行時間也是一個問題。

盡管如此,在 7 納米,芯片製造商正在使用 EUV 來圖案化芯片特徵,間距從 40 納米開始。供應商正在使用基於 EUV 的單一圖案化方法。這個想法是將芯片特徵放在一個掩模上,然後使用單次光刻曝光將它們打印在晶圓上。

芯片製造商希望盡可能擴展 EUV 單一圖案。EUV 單次構圖在 32nm 到 30nm 間距達到極限,代錶 5nm 節點左右。

在這些間距及以上,大緻在 3nm 節點,芯片製造商需要尋找新的選擇,即 EUV 雙圖案。在雙重圖案化中,您將芯片特徵分割在兩個掩模上並將它們打印在晶圓上。這既復雜又昂貴,但這也是晶圓廠通過 193nm 光刻技術掌握的東西。

有些人可能希望完全避免 EUV 雙重圖案。“現在我們正在接近 0.33 NA EUV 單次曝光的極限,為此我們正在考慮High NA EUV,”來自TEL的工藝工程師 Arnaud Dauendorffer在最近的 SPIE 光掩模技術 + EUV 會議上的演講中說。

為瞭避免 EUV 雙重圖案化,芯片製造商正在推動 3nm 及以上的高數值孔徑 EUV。High-NA EUV 有望實現更簡單的單圖案方法。

“該工具提供瞭更高的分辨率。這意味著您可以使用它打印更多功能。航拍圖像對比度可實現更好的局部 CD 均勻性,”ASML 係統工程總監 Jan van Schoot 在會議上的演講中說。

ASML 的第一個高數值孔徑 EUV 係統 EXE:5000,具有 8nm 分辨率和 150 wph 的吞吐量。客戶齣貨時間定於 2023 年。然後,在 2024 年底,ASML 將齣貨新版本 EXE:5200,其吞吐量為 220 wph。

High-NA EUV 的工作原理類似於當今的 EUV 光刻,但存在一些關鍵差異。與傳統鏡頭不同,高數值孔徑工具包含一個變形鏡頭,支持一個方嚮放大 8 倍,另一個方嚮放大 4 倍。所以字段大小減少瞭一半。在某些情況下,芯片製造商會在兩個掩模上加工一個芯片。然後將掩模縫閤在一起並印刷在晶圓上,這是一個復雜的過程。

新掩模

High-NA EUV 還需要新的光掩模類型。EUV 和傳統的光學掩模是不同的。光學掩模由玻璃基闆上的不透明鉻層組成,這使得它們可以透光。

有多種類型的光學掩模,例如二元掩模和相移掩模 (PSM)。

圖 2:各種類型掩碼的示意圖:(a)常規(二元)掩碼;(b) 交替相移掩模;(c) 衰減相移掩模。資料來源:維基百科

在二元掩模中,鉻在選定的位置被蝕刻,從而暴露齣玻璃基闆。鉻材料在其他地方沒有蝕刻。在操作中,光綫照射到掩模上並穿過帶有玻璃的區域,從而暴露齣矽片。光不會穿過鍍鉻區域。

今天也使用 PSM。“PSM 有很多種,但它們的工作原理是使用相位來抵消不需要的光,從而産生對比度更高的圖像,”Fractilia 的 CTO Chris Mack 說。

今天的 EUV 掩模是二元和反射的。EUV 掩模和/或坯料由 40 到 50 層矽和鉬交替薄層組成,位於基闆上。這帶來瞭 250 納米到 350 納米厚的多層堆疊。在堆棧上,有一個基於釕的覆蓋層,然後是一個基於鉭材料的吸收器。

圖 3:EUV 掩模的橫截麵。

在掩模生産中,第一步是創建基闆或掩模坯。由掩模坯料供應商製造,坯料用作掩模的基本結構。

為瞭製造 EUV 掩模坯料,供應商將交替的矽和鉬層沉積到基闆上。使用光化和光學檢查設備檢查掩模坯料的缺陷。

Lasertec 銷售用於 EUV 掩模坯料的光化坯料檢測 (ABI) 係統。ABI 工具使用 13.5 納米波長,具有 1 納米(高度)x 40 納米(寬度)的靈敏度,缺陷定位精度為 20 納米。

麵嚮高數值孔徑 EUV,Lasertec 正在開發一種具有 1nm x 30nm 靈敏度的新 ABI 係統。“我們的目標是 10nm 的缺陷位置,”Lasertec USA 總裁 Masashi Sunako 在會議上的演講中說。

最重要的是,該行業正在開發用於 3nm 及以上的新 EUV 掩模類型。在今天的 EUV 掩膜中,吸收體是一種類似 3D 的特徵,突齣在麵罩頂部。在操作中,EUV 光以 6° 的角度照射掩模。反射可能會在矽片上引起陰影效應或光掩模引起的成像像差。此問題稱為遮罩 3D 效果,會導緻不必要的圖案放置偏移。

為瞭減輕這些影響,EUV 掩模需要更薄的吸收劑。在現有的 EUV 掩模中,鉭吸收劑的厚度為 60 納米。它可以做得更薄,但限製在50nm,這並不能解決掩膜效應。作為迴應,業界正在開發幾種新的 EUV 掩模類型,例如 2D、無吸收體、高 k、非反射和 PSM。

EUV PSM 似乎具有最大的動力。該技術解決瞭遮罩 3D 效果,同時還通過更好的對比度提高瞭圖像質量。

但是 EUV PSM 可能需要不同的材料。

在 SPIE Photomask/EUV 會議上的演講中,漢陽大學的研究人員描述瞭一種相移 EUV 掩模,它由基闆上的釕和矽交替層組成。釕覆蓋層位於多層結構的頂部,然後是鉭-硼蝕刻停止層,以及作為相移材料的釕閤金。

在一篇論文中,Hoya 開發瞭各種衰減相移型吸收器並評估瞭其性能。“PSM 期望帶來成像增益,”來自 Hoya 的 Ikuya Fukasawa 在一次演講中說。“但為瞭開發 EUV PSM 坯料,我們必須滿足很多要求。吸收材料必須具有小的粗糙度和高的抗掩模清潔的耐久性。當然,吸收體必須在掩模工藝中蝕刻。”

與 EUV PSM 一樣,High k 掩模也在研發中。High k EUV 掩膜類似於今天的 EUV 掩膜。該行業正在探索鎳等其他材料,而不是鉭吸收器。更薄的鎳吸收劑可以減輕掩模效應,但這種材料很難使用。

與此同時,初創公司 Astrileux 最近描述瞭一種使用釕材料的新型非反射 EUV 掩模。Astrileux 首席執行官 Supriya Jaiswal 錶示:“我們的掩膜在黑暗區域更暗,在清晰區域更亮,並且整體背景照明和泄漏更少。”

Astrileux 還描述瞭一種 2D 掩膜,其中吸收器結閤在坯料中。這傢初創公司還談到瞭無流子掩膜。所有這些都在研發中。

就目前而言,芯片製造商將繼續將現有的 EUV 掩模/空白結構用於現有的 0.33 NA EUV 工具。然後在某個時候芯片製造商可能會為 0.33 EUV 插入 EUV PSM。當高數值孔徑 EUV 準備就緒時,芯片製造商可能會使用 PSM。High k 和其他掩膜類型也是可能的。

Hoya Group 的 Hoya LSI 總裁 Geoff Akiki 說:“隨著您的發展,有幾種方法,無論是相移、低 n 還是高 k。” “這裏真正的訣竅將是集成並使其在製造中發揮作用,將其作為産品推齣。例如,你有像平坦度這樣的事情,我們花瞭很多時間擔心。你有缺陷,我們都在談論。從某種意義上說,所有這些東西的選擇就像試圖調整一個製程窗口。這是讓您最終獲得可用的東西的原因,而不是在理想條件下。”

新的掩膜設備

同時,掩膜坯一旦製成,就會被運往光掩膜供應商。在掩模供應商處,對blank進行圖案化、蝕刻、修復和檢查。最後,將薄膜安裝在掩膜上。

圖 4:EUV 掩模製造步驟。資料來源:思馬泰

首先,光掩模製造商使用稱為電子束掩模寫入器的係統根據給定的 IC 設計在掩模上寫入圖案。多年來,掩模製造商依賴基於可變形狀光束 (VSB) 技術的單光束電子束工具。在操作中,將掩模插入係統中,電子以射擊的形式撞擊掩模。

基於 VSB 的掩模編寫器適用於傳統的光學掩模。但是 EUV 掩模具有更小更復雜的特徵,並且 VSB 太慢而無法對其進行圖案化。

對於 EUV 和一些復雜的光學掩模,掩模製造商使用多光束掩模寫入器。IMS Nanofabrication 的多光束掩模寫入工具利用 262,000 條微小光束,從而加快瞭過程。寫入時間是恒定的,需要 12 個小時左右來對所有掩碼進行圖案化。

IMS 正在推齣其第二代工具,其中包含一個新的研發版本。“對於高數值孔徑 EUV 掩模製造,新的 MBMW-301 工具將配備更多光束,”IMS 高級顧問 Hans Loeschner 說。

NuFlare 還在開發多光束掩模寫入器。這些係統旨在對下一代 EUV 和麯綫掩模進行圖案化。該行業還在使用反嚮光刻技術(ILT)在高級光掩模上開發麯綫形狀。所謂的 ILT 掩膜對於 EUV,尤其是高 NA 將變得很重要。

“ILT 掩模是一種增強工藝窗口的方法,以提高晶圓生産工藝對製造變化的彈性,”D2S 的 Fujimura 說。

在圖案化步驟之後,掩模結構被蝕刻和清潔,形成光掩模。在生産過程中,缺陷可能會齣現在光掩模上。

這可能有問題。因為在光刻過程中,來自掃描儀的光穿過光掩模,將所需的圖像投影到矽片上。如果掩模有缺陷,不規則可能會印在晶圓上。這會影響裸片的良率,甚至會毀掉一個芯片。

所以在掩模製作過程中,必須檢查光掩模是否存在缺陷。對於傳統的光學掩模,光掩模製造商使用光學掩模檢測係統。Applied Materials、KLA、Lasertec 和 NuFlare 銷售這些係統。

光學檢測工具還可以檢測 EUV 掩模。光學的問題是分辨率。他們可能會在 20 納米到 16 納米的半間距分辨率下失去動力。

作為迴應,Lasertec 最近推齣瞭一種使用 13.5 納米光源的光化圖案掩模檢測 (APMI) 係統。較小的波長使係統能夠定位 EUV 掩模的亞 20 納米缺陷。

Lasertec 還在開發用於高數值孔徑 EUV 掩模的 APMI 係統。“新的光學器件、探測器和係統設計已經完成,”Lasertec 的 Sunako 說。該工具計劃於 2023/2024 年推齣。

除瞭光學和 APMI,客戶還有另一種 EUV 掩模檢測選項。那就是KLA 和 NuFlare 正在開發多光束電子束掩模檢測工具。

NuFlare 正在開發一種具有 100 個光束的多光束檢測係統,計劃於 2023 年推齣。“靈敏度為 15 納米。檢查時間為每個掩膜檢查周期 6 小時,”NuFlare 的杉森忠行說。

總而言之,對於當前和未來的 EUV 掩模,光掩模製造商將使用所有檢測類型――光化、電子束和光學。

和檢查一樣,掩膜修復也很關鍵。如果掩模有缺陷,光掩模製造商可以使用掩模修復係統修復它們。掩模修復工具有兩種類型,電子束和納米加工。兩者是互補的。

對於高級節點,蔡司推齣瞭一種使用電子束技術的新掩模修復工具。該係統可修復掩膜和 10 納米及更小尺寸擠壓件上半間距低至 60 納米的缺陷。

同時,布魯剋提供使用納米加工技術的掩模修復工具。這些係統包含一個微小的尖端來修復掩模缺陷。

所有掩模修復工具都必須跟上先進節點的縮小特徵和缺陷尺寸。他們還必須處理各種材料。“這些工藝的材料獨立性對於去除掉落和其他殘留的軟缺陷汙染至關重要,因為材料特性通常是未知的,”布魯剋技術總監傑夫・勒剋萊爾 (Jeff LeClaire) 說。

需要新光刻膠

光刻膠對於光刻也很重要。芯片製造商需要具有良好分辨率 [R]、低綫寬粗糙度 [L] 和靈敏度 [S] 的光刻膠。

該行業已經開發齣用於光刻的光刻膠。但對於 EUV 來說,情況就不同瞭。這主要歸咎於同時獲得所有這三個參數是很睏難的,因為它們是相互關聯的,而且一個參數的改進通常至少會降低其他參數中的一個――通常被稱為 RLS 權衡關係。

正在生産的 EUV 光刻膠基於兩種技術――化學放大抗蝕劑 (CAR) 和金屬氧化物。用於光學和 EUV 的 CAR 涉及一個復雜的過程。當光子撞擊掃描儀中的光刻膠時,會引起連鎖反應。

“反應級聯的一部分涉及初始光子的化學放大,其中光子首先轉化為幾個電子,每個入射光子最終會産生幾個光酸分子。CAR的優勢在於可以通過增加每個光子産生的光酸分子的數量來提高光刻膠的靈敏度。然而,這些額外的酸將越來越遠離原始光子的位置,導緻圖像模糊,從而降低分辨率並增加綫邊緣粗糙度。

金屬氧化物光刻膠不太成熟,但它們具有一些優勢。例如,Inpria 的金屬氧化物光刻膠基於氧化锡結構,可以更有效地捕獲 EUV 光子。

今天,業界正在尋找一種滿足高數值孔徑 EUV 的 RLS 要求的光刻膠。這仍然是一項正在進行的工作。Paul Scherrer 研究所 (PSI) 和 ASML 的研究人員正在使用乾擾 EUV 光刻係統篩選高 NA 的各種抗蝕劑。研究人員用各種抗蝕劑圖案化綫條和空間,希望獲得 8nm 半間距分辨率。

PSI 最近展示瞭來自未公開供應商的 CAR 和非 CAR 抗蝕劑的結果。使用大約 60mJ/cm 的 CAR 劑量,PSI 的 R&D EUV 係統在 13nm 半間距處形成清晰的綫條和空間圖案,但在 12nm 處遇到輕微橋接,在 11nm 處齣現圖案塌陷。PSI 的研究員 Timothée Allenet 在一次演講中說:“我們已經將化學放大抗蝕劑的最終分辨率從 12 納米提高到 11 納米,隻需優化底層。”

同時,根據 PSI 的說法,在 30mJ/cm 劑量下,分子光刻膠在 13nm 處錶現齣良好的圖像,但由於在 12nm 處圖案坍塌,它們遇到瞭故障。

然後,使用不同的劑量,金屬氧化物光刻膠在低至 12nm 處錶現齣良好的效果。“在 11nm 半節距處,我們有輕微的橋接,然後在 10nm 處齣現分辨率瓶頸,”Allenet 說。

從好的方麵來說,今天 0.33 NA EUV 的阻力並沒有停滯不前,並且正在改善。例如,TEL 描述瞭 CAR 和金屬氧化物抗蝕劑的新工藝。

“總而言之,塗布機/顯影劑工藝與優化的底層薄膜一起顯示齣 CAR 圖案坍塌裕度的改善。優化的底層改善瞭金屬氧化物抗蝕劑的缺陷密度、産量和粗糙度,”TEL 的 Kanzo Kato 說。

結論

其他 EUV 技術也在開發中,例如薄膜。薄膜用於覆蓋掩膜,防止顆粒落在麵罩上。

ASML 開發瞭新的 EUV 薄膜。與此同時,Imec 的碳納米管薄膜在 ASML 的 EUV 掃描儀上顯示齣 97.7% 的透射率。單壁和多壁薄膜都是有前途的。

“兩種類型的錶現都很好,在 CD 均勻性、LWR 和耀斑方麵,與無防護膜參考相比,成像差異很小。根據測得的這些薄膜的 EUV 吸收範圍從 95.3% 到 97.7%,預計劑量會略有增加,”Imec 技術人員的主要成員 Emily Gallagher 說。

毫無疑問,很多人正在為高數值孔徑 EUV 開發其他技術。不管所有部分是否到位,芯片製造商都錶示,2023 年及以後的芯片生産需要高數值孔徑 EUV。

盡管如此,研發成本纔剛剛開始堆積。沒有多少人買得起這些係統。此外,還有待觀察的是,High NA 光刻機何時真正投入生産。

版權信息:本文引自 半導體行業觀察 ,版權歸原作者所有,文章僅用於學術分享。

分享鏈接



看最新新聞就到趣味新聞網
quweinews.com
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!


tag

相关新聞

6月蘋果wwdc發布會更多消息曝光

6月蘋果wwdc發布會更多消息曝光

    最近,關於蘋果的消息大都圍繞著新iPhone 14係列展開。而除瞭全新的硬件産品,不少用戶還在關注將於下月到來的蘋果全球開發者大會(WWDC)。 據悉,這次活動將於6月6日至10日(北京時間6月7日至11日)通過綫上形式舉行。並公布iOS、iPadOS、macOS、watchOS 和 tvOS 的前沿創新。 此前的爆料大多圍繞著全新的iOS 16展開,現在也有消息提到瞭macOS的更新信息。 據悉,即將到來的macOS 13 是蘋果 Mac 軟件平颱的下一個主要版本,也是從英特爾完全過渡到.......


資訊丨榖歌摺疊屏手機曝光:配備 5.8 英寸外屏

資訊丨榖歌摺疊屏手機曝光:配備 5.8 英寸外屏

    最近幾年,越來越多的廠商開始進入摺疊屏設備領域,用戶的可選擇範圍也變得更大瞭一些。在過去的一段時間中,多傢知名廠商都帶來瞭自己的摺疊屏産品係列。 現在,另外一個知名品牌也被曝光瞭摺疊屏産品信息,而這一品牌就是榖歌。 雖然大傢對榖歌的更多關注集中在瞭軟件方麵,但其每年也會帶來旗下的Pixel 係列手機更新。因此,如果榖歌真的推齣瞭摺疊屏係列産品,也並不令人感到意外。 以往的消息顯示,榖歌旗下有兩個涉及摺疊屏産品的內部代號,分彆為“Passport”和“Pipit”。但由於還沒有確切的佐證信息.......


Redmi Note 12本月發布 或改名Redmi Note 11T

Redmi Note 12本月發布 或改名Redmi Note 11T

    中關村在綫消息:小米集團閤夥人,中國區、國際部總裁,Redmi品牌總經理盧偉冰稱:“從去年起,Redmi Note係列開啓瞭一年兩代的産品策略:一代聚焦極緻性能(性能小金剛),一代聚全能焦體驗(體驗小金剛),用戶可以根據自己不同的需求來任意選擇。但還是有米粉反饋,在選購時因為兩代産品名稱都是數字係列,容易分辨不清。一年前發布的Note 10 Pro的性能升級款即將來臨,大傢給齣齣主意:覺得叫什麼名字閤適呢?” Redmi Note 11T 係列通過瞭國傢質量認證,一共有兩款:Redmi N.......


資訊丨AMD第一季度營收58.87億美元,銳龍7000係列路綫圖發布

資訊丨AMD第一季度營收58.87億美元,銳龍7000係列路綫圖發布

    今天淩晨,AMD公布瞭2022財年第一季度財報。報告顯示,AMD第一季度營收為58.87億美元,與上年同期的34.45億美元相比增長71%,與上一季度的48.26億美元相比增長22%;淨利潤為7.86億美元,與上年同期的5.55億美元相比增長42%,與上一季度的9.74億美元相比下降19%;不按照美國通用會計準則的調整後淨利潤為15.89億美元,與上年同期的6.42億美元相比增長148%,與上一季度的11.22億美元相比增長42%。 AMD的兩大業務部門中,計算和圖形部門貢獻瞭28億美元營.......


價格相差100元 紅米K50和realme GT Neo3如何選?

價格相差100元 紅米K50和realme GT Neo3如何選?

    從驍龍888,再到使用4nm製造工藝的驍龍8 Gen1,旗艦芯片發熱量居高不下,消費者已經對高通失去瞭信心。反觀聯發科,旗艦芯片天璣9000使用瞭颱積電的4nm製造工藝,功耗控製更齣色,成功在高端市場逆襲。 不過,聯發科真正的殺手鐧還是中、高端芯片,也就是天璣8000和天璣8100兩款芯片。而且,天璣8100芯片被稱為狙擊驍龍888的一款産品。要知道,2500-3000元的價格區間纔是銷量主力,高端芯片銷量並不高。 目前,主流手機品牌的天璣8000係列手機已經發布,性價比最高的兩款手機是紅.......


Fast IPS與Mini LED加持!泰坦軍團27A6MR電競顯示器

Fast IPS與Mini LED加持!泰坦軍團27A6MR電競顯示器

    電競顯示器往往代錶瞭最新的技術走嚮,顯示器廠商往往都會將自己的新産品、新技術以電競顯示器展現齣來。比如最初的120Hz/144Hz刷新率、1ms響應時間,到後來的360Hz刷新率、Nano IPS/Fast IPS、OLED麵闆……我們前兩期曾評測過OLED顯示器,雖然各方麵的錶現都非常優秀,但是由於成本原因,以至於現階段的OLED電競顯示器價格都高高在上。不過,在LCD和OLED之間還有一種“摺中”的方案――Mini LED。Mini LED在亮度、均勻性、色彩方麵均錶現齣色,目前已經開始被.......


你好,UFS 4.0!再見瞭,UFS 3.1!

你好,UFS 4.0!再見瞭,UFS 3.1!

    經過各種參數的提升,如今的手機性能已經和以前不一樣瞭,不僅擁有著強大的加載速度,就連優化能力也得到瞭提升,幾乎很難看到新機齣現卡頓、掉幀等情況。 隻不過在優化升級的過程中存在著非常多的細節,雖然依靠硬件的提升和係統的優化可以帶來一定程度的提升,但最關鍵的還是閃存和內存方麵。 隻有在很多細節上進行發力,纔能夠促進如今的市場,尤其是通用閃存方麵,這幾年的提升幅度真的可以用巨大來進行形容。 要知道,如今的USF 3.1已經被廠商分為瞭殘血版和滿血版,但已經下放到瞭韆元手機市場,可以助力韆元産品的.......


華為上架新機,價格親民9999元起售,花粉:幸福來得太突然

華為上架新機,價格親民9999元起售,花粉:幸福來得太突然

    聲明:原創不易,禁止搬運,違者必究! 手機産品更新換代的速度,著實有點令人趕不上瞭。為瞭能夠打造齣一款,更優質的手機産品,為用戶帶來更多的體驗感,各大手機廠商也是傾盡全力。 在性能上、在影像係統、在快充上等各個領域發力。有關注數碼的用戶,會發現今年的摺疊屏市場有點熱鬧瞭,齣現瞭不少新的摺疊屏産品。 原先華為跟三星是最早推齣摺疊屏手機的手機品牌,之後小米也入局瞭。從去年12月份開始,國內多傢手機廠商推齣瞭首款摺疊屏手機産品。OPPO、榮耀、VIVO。一方麵意味著,摺疊屏手機産品將會越來越普及.......


又一國産頂級旗艦將於5月9日發布,超窄邊框+屏下攝像頭,顔值不輸三星!

又一國産頂級旗艦將於5月9日發布,超窄邊框+屏下攝像頭,顔值不輸三星!

    近期新品手機市場可謂是頗為熱鬧,各大廠商官宣發布時間的新機是一款接著一款,比如中興Axon40 Ultra便是其中的典型代錶,根據官方前些天的錶態,作為中興今年的高端旗艦,中興Axon40 Ultra將於5月9日正式發布,而隨著發布的即將召開,目前中興Axon40 Ultra也是越來越清晰,多個方麵信息均有所確認。 外觀方麵應該算是中興Axon40 Ultra的最大亮點之一,其正麵采取瞭超窄邊框+屏下攝像頭的柔性麯麵屏設計,一方麵左右邊框在極緻收窄的情況下帶來瞭近乎無邊框的觀感,一方麵極窄.......


華為跌齣前五,蘋果也無緣第一,國産“黑馬”誕生瞭

華為跌齣前五,蘋果也無緣第一,國産“黑馬”誕生瞭

    聲明:原創不易,禁止搬運,違者必究! 數據是最好的說服力,人們常常通過數據來看一個行業的發展趨勢。在去年,中國手機市場第四季度的排行榜中,蘋果再次搶占瞭第一的寶座。這也足以說明,蘋果手機在國內手機市場,有著重要的影響力。 蘋果能夠有這樣的錶現,和鞦季剛發布的iphone13是分不開的。每年蘋果鞦季發布會召開之後,手機市場的份額就會齣現大洗牌,蘋果也成為最大贏傢。 如今距離iphone13的發布時間,也有一段時間瞭,蘋果還能夠繼續穩坐第一的寶座嗎?今年第一季度的成績單已經說明瞭答案。有權威市.......


Pico將上調今年VR設備齣貨量目標至180萬台

Pico將上調今年VR設備齣貨量目標至180萬台

    被字節跳動收購8個月以來,Pico錶現得低調而迅猛。近日,有多傢媒體及消息人士透露,由於營銷效果遠超預期,字節跳動將調高旗下公司Pico的VR産品2022年銷售目標至180萬颱,而此前的原定目標為100萬颱左右。一位業內人士錶示,隨著整個內容生態的進一步發展,未來行業將步入快速發展期。(上證報) .......


居傢養老改造設計—智能傢居應用

居傢養老改造設計—智能傢居應用

    降低學習難度和使用難度 智能傢居(Smart Home)依托一傢一戶的現代居住模式,集綜閤布綫、網絡連通、 安全防治、智能係統、現代化多媒體等技術於一體,構成完備的居住環境和日常生活需 求的智能控製體係,提高居住環境的安全、方便、細緻、貼心、高雅的同時還可以達到 綠色節約能源的居傢環境。 如何通過智能傢居的輔助讓居傢養老更安全從而實現智能居傢養老。 在如今的居傢養老的係統中大量使用瞭信息技術,係統采用傳感器監控等技術手段,外加網絡遠程技術和實時健康管理的信息化係統,配閤智能居傢係統可以讓子.......


硬件丨​AMD預計最早2023年推齣整閤AI引擎的CPU

硬件丨​AMD預計最早2023年推齣整閤AI引擎的CPU

    在今年的2月份,AMD正式宣布對於賽靈思公司的收購案完成。 而事實證明收購賽靈思對於AMD來說是很有戰略價值的,在昨天AMD發布的2022年第一季度財報中,賽靈思一季度自收購完成以來的部分營收為5.59億美元,運營利潤為2.33億美元。雖然與計算和圖形産品部門的28億美元以及企業、嵌入式和半定製産品部門的25億美元不能相比,但是依舊有著不少的收入。 當然,收購完一個企業不光要看其營收能力,其技術實力纔是重中之重。賽靈思全球最大的FFPGA芯片廠商,AMD收購他們也主要是看中FPGA芯片.......


爆料丨華為nova10係列曝光,有望6月發布

爆料丨華為nova10係列曝光,有望6月發布

    近日,華為舉辦瞭摺疊旗艦及全場景新品發布會。華為Mate Xs 2新一代摺疊屏等新品在這次活動中正式亮相。 不過,除瞭全新的摺疊屏旗艦,華為旗下其他手機新品的發布情況也備受關注。現在相關的消息也提到瞭更多産品信息。 據悉,博主@廠長是關同學 在近日的一份爆料中提到,“nova10係列這次軟件和攝像頭模組調校的更齣色瞭”“這個手機的質感做工沒得說。手機殼之前也是說這個發布會一起,希望彆有變化。6月見”。 結閤爆料中顯示的信息來看,全新的華為nova10係列將在核心處理器方案、軟件支持以及.......


資訊丨中興 Axon 40 係列官方渲染圖公布:打孔屏、屏下攝像都有

資訊丨中興 Axon 40 係列官方渲染圖公布:打孔屏、屏下攝像都有

    中興官方已經正式確認,全新中興Axon40係列新品發布會將於5月9日正式到來。 現在新品發布活動正在變得越來越近,相關的産品預熱和爆料信息在大量齣現。今天的最新預熱中也提到瞭更多相關內容。 據悉,中興手機今天正式公布瞭中興Axon40係列新品的外觀設計方案。 結閤圖片來看,中興Axon40 Pro采用瞭中置打孔屏幕,麯屏設計,上下邊框較窄。這使其正麵的視覺效果十分不錯。電源鍵和音量調節按鍵則安置在瞭機身右側。 機身設計上,這款新機後置瞭矩形的攝像模塊,模塊中還分為瞭上下兩處圓環形區域。.......


Redmi Note 11T官宣:本月發布,性能升級

Redmi Note 11T官宣:本月發布,性能升級

    此前的爆料顯示,在Redmi K50係列後,Redmi應該會推動Redmi Note係列新機的發布上市,且這一全新係列有望在今年第二季度登場。 隨後的爆料信息和官方劇透也都顯示瞭Redmi Note係列産品迭代的可能性。 今天,Redmi紅米手機也正式確認瞭這一新機。 Redmi錶示,“官透:全新 Note 係列,命名Note 11T,本月發布。定位:「性能小金剛」,方方麵麵 Turbo 級。Turbo 級性能 ,挑戰中端性能之王;Turbo 級調校,加入多款 Note 首次性能加速技術;.......


部分海外聯想/拯救者用戶反饋更新 BIOS 後無法啓動 Windows

部分海外聯想/拯救者用戶反饋更新 BIOS 後無法啓動 Windows

    IT之傢 5 月 5 日消息,WindowsLatest 發現,有一些不太幸運的聯想筆記本電腦用戶(尤其是 Legion 用戶)在通過聯想 Vantage 安裝瞭推送的 BIOS 更新後遇到一些麻煩。 根據 Reddit 論壇等各種報告 / 反饋,在 BIOS 更新後,無論是 Windows 10 還是 Windows 11 都會遇到啓動失敗的問題。 IT之傢提醒,目前隻是部分聯想 Legion 機型受到影響,例如 Legion 5 和 Legion 7 係列,BIOS 版本 GKCN53WW.......


澳大利亞紐扣電池以及含紐扣電池消費類産品管控新規

澳大利亞紐扣電池以及含紐扣電池消費類産品管控新規

    澳大利亞政府於2020年12月21日製定齣颱的關於紐扣電池的四項強製性標準,新舊標準的過渡期為18個月,將於2022年6月22日強製實施,旨在減少與使用紐扣電池有關的傷亡風險。 範圍: 標準適用於: 紐扣電池 含紐扣電池的消費類産品。 強製標準: 消費品(含紐扣電池的産品)安全標準2020 消費品(含紐扣電池的産品)信息標準2020 消費品(紐扣電池)安全標準2020 消費品(紐扣電池)信息標準2020 標準要求 含紐扣電池的消費類産品: 結構設計.......


iPad 要上 macOS?這波或許是絕殺

iPad 要上 macOS?這波或許是絕殺

    在蘋果生態體係之中,iPadOS 是槽點最大的産品之一。iPad 的配置越來越 Pro,iPad 的係統卻有些跟不上節奏。 何以解憂?廣大數碼愛好者給齣瞭個現成的主意:用 macOS。畢竟 iPad Pro 都用上 Mac 同款的 M1 處理器瞭,就算不預裝 macOS,添加個 Boot Camp 支持,給予用戶手動安裝的機會,也閤情閤理吧? 對此蘋果官方卻是態度鮮明。iPad 産品營銷部的斯科特・布羅德裏剋(Scott Broderick)錶示,iPad 和 Mac 是各不相同的、特.......


有瞭台積電,英特爾工藝製程終於追上蘋果瞭?

有瞭台積電,英特爾工藝製程終於追上蘋果瞭?

    英特爾 14 代酷睿或使用颱積電 5nm 工藝 類似蘋果 M1 係列芯片 據 DigiTimes 報道,英特爾正在考慮至少部分地使用颱積電的 5nm 工藝製造其第 14 代酷睿"Meteor Lake"(流星湖)CPU,蘋果 M1 係列芯片用的就是這種工藝。去年宣布的英特爾流星湖芯片將是其首個多芯片設計,將應用處理器、圖形處理單元和連接芯片整閤到一個英特爾 Foveros 高級封裝中。 英特爾最初錶示,Meteor Lake CPU 將采用自己的 7nm 工藝製造,它稱之為"英特爾 4.......


iPhone 14將在富士康量産:本月開始試産

iPhone 14將在富士康量産:本月開始試産

    消息稱iPhone 14係列已經開始小規模的試産,整體進度來說,iPhone 14係列將在6月正式開始量産,如果國內的情況有所緩解,那麼鄭州富士康將承擔超過50%的國內産能。 而上周也有消息稱,iPhone 14因為種種原因麵臨延期發布,9月無法正常發布,齣貨至少要等到11月,但蘋果方麵非常肯定的迴應稱,iPhone 14將會在9月中下旬如約發布。目前A16芯片已經開始生産。 網友們覺得iPhone 14究竟會不會延期呢? (7917539) .......


標準版iPhone 14配置全曝光 誰買誰是冤種

標準版iPhone 14配置全曝光 誰買誰是冤種

    中關村在綫消息:今日外媒曝光瞭兩款標準版iPhone 14的相關配置信息,看完人直接麻瞭,新機不僅還是沿用萬年不變的劉海設計,並且這次連A16處理器都沒有,還是用的A15,此外兩款機型均不支持高刷,這配置可以說誰買誰是大冤種。 此外,相機也是祖傳1200萬像素,手持iPhone 13的用戶可以不用換機瞭。iPhone 14 Max是代替mini係列的全新型號,該機采用6.7英寸大屏,但配置屬實不太好看,建議想買的直接加錢上Pro Max。 (7917594) .......


TCL華星環境光Sensor集成技術——“差異化”賽道促智能發展

TCL華星環境光Sensor集成技術——“差異化”賽道促智能發展

    在數字顯示時代高速發展的今天,各式各樣的數字設備已經成為越來越多人生活中不可替代的工具。新的顯示應用場景正不斷發展與延伸,顯示應用也嚮著更加智能化、個性化、場景化方嚮發展。人們不斷對屏幕顯示提齣更高的要求,以創新為核心的産品結構升級競爭加速,也推動著屏顯技術智能化發展,以滿足用戶對數字顯示帶來更便捷和靈活性的體驗要求。 TCL華星COO趙軍曾錶示,LCD大尺寸的顯示應用發展會聚焦於“更大、更清、更快、更真、更時尚、更智能、更健康、更多元”等八大技術趨勢。進入全麵屏時代,屏幕不止承載功能性作用,.......


消費者心目中的不二之選——三星Galaxy A53 5G

消費者心目中的不二之選——三星Galaxy A53 5G

    隨著科技的進步,人們的生活方式潛移默化中也在發生著轉變,手機在其中扮演著舉足輕重的角色。不久前上市的三星GalaxyA53 5G手機,關注消費者最真實的使用需求,輕鬆駕馭高頻使用場景的同時又輕時薄尚,重新定義未來生活中手機最恰如其分的樣子。 三星全係列産品一直在追求極緻的屏幕顯示效果,為用戶帶來行業領先的視覺體驗。此番在GalaxyA53 5G身上,再一次得到升級,硬件方麵搭載瞭一塊FHD+SuperAMOLED全視屏,分辨率達到2400*1080,以媲美人眼的視網膜級清晰度呈現細膩、生動.......


199起 RK98單模&三模機械鍵盤簡評

199起 RK98單模&三模機械鍵盤簡評

    如果將定製TTC七彩紅軸版的RK98售價對摺,齣來的産品還能保證高性價比嗎?RK的答案是可以。在之前賣得相當火爆的TTC七彩紅軸三模版基礎上,隻保留瞭單模,換瞭一套鍵帽和定製軸體,縮減瞭部分配置之後售價就來到瞭200元價位,定製軸三模版也就加個幾十塊,價格屠夫…… 外觀細節 還是類98配列,粗邊框,調整為緊湊的F區,並多塞入瞭兩顆功能鍵,找茬也看不齣與之前TTC三模版在設計上的區彆。隻是後者在定製化程度上高齣不少,不但在軸體配色上很考究,機身顔色也盡量接近版本主題色.......


靈映:明明可以靠“臉”吃飯,卻偏偏靠實力

靈映:明明可以靠“臉”吃飯,卻偏偏靠實力

    有顔能打,是大傢的追求,也是我們的追求,做一款打動人心的産品,僅僅依靠顔值是不夠的,還要有強大能打的內在,一如我們的Y01 幻彩少年,有先鋒的顔值,也有強大的內在,不信且看: DLP技術 比亮更亮 相比傳統LCD單片機,DLP具有體積小、可靠性強,使用壽命長、響應速度快、清晰度更高的優勢,不僅如此,DLP技術也使投影效果亮度更高,帶來更純淨的畫質享受,由於Y01幻彩少年是以0.2"DMD晶片為主要關鍵處理元件,因此Y01的清晰度達到瞭主流的高清水準,這是以往LCD單片機很難做到的! 超.......


榮耀CEO趙明:全麵啓動海外市場銷售,未來五年榮耀將不存在瓶頸期

榮耀CEO趙明:全麵啓動海外市場銷售,未來五年榮耀將不存在瓶頸期

    Tech星球5月5日消息,榮耀CEO趙明錶示,2022年榮耀將全麵啓動海外市場銷售,預計未來五年榮耀將不存在瓶頸期。趙明錶示,榮耀現有員工12000人,研發人員占比在50%以上。榮耀會持續進行技術創新,研發投入占比會遠高於營銷投入占比。今年一季度,榮耀的利潤兌現率(齣售時的業績承諾)、現金流兌現率都超過100%,經營性現金流非常好。 值得一提的是,此前曾有媒體報道稱榮耀CEO趙明卸任總經理,董事職務,隨後趙明在個人社交賬號發文稱,自己仍是榮耀終端有限公司的董事和CEO,並錶示,榮耀的業務在.......


三星“良心”瞭,6400萬四攝+1TB降至1449元,國人還會購買嗎?

三星“良心”瞭,6400萬四攝+1TB降至1449元,國人還會購買嗎?

    聲明:原創不易,禁止搬運,違者必究! 産品想要有一定的市場份額,品牌的影響力是至關重要的。三星這個手機品牌,不管是在知名度上,還是在全球市場份額上,都是值得認可的。但是在國內手機市場的熱度並不高,因為“Note7事件”。 對於消費者來說,很難不耿耿於懷。不過對於國內的消費者來說,對三星手機無感,還有一個原因,那就是價高。相比蘋果、華為手機,三星在定價上,也絲毫不遜色。 如今的國內手機市場,誕生瞭不少國産手機品牌,在性價比方麵做得到傢瞭。對於消費者來說,電子産品更新換代快,與其入手一款大幾韆.......


一加手機燙手登上熱搜:官方迴應

一加手機燙手登上熱搜:官方迴應

    5月5日消息,今天立夏,是夏季的第一個節氣,天氣開始逐漸升溫,你們的手機有沒有發熱? 近日,一加手機第一天用燙手的話題登上熱搜,據新浪科技報道,一加官方迴應稱,手機在玩遊戲過程中溫度升高屬於正常現象; “該用戶測試溫度錶現在正常範圍之內,不會影響手機正常使用。” 根據視頻截圖來看,測試手機為一加Ace,搭載瞭天璣8100-MAX處理器,按照該機此前的實際錶現,其實並未發現有明顯發熱情況。 當然不排除受到環境因素影響,從而導緻手機發熱,比如邊充電邊玩遊戲,這種情況所有手機包括iPhone都會不可.......


識貨的人不少,上市一年後,小米11 Ultra四月進入暢銷榜前十

識貨的人不少,上市一年後,小米11 Ultra四月進入暢銷榜前十

    2021年3月29日,小米發布瞭小米11 Ultra旗艦機,並在當時拿下瞭Dxomark拍照冠軍,一時風頭無兩。結果誰也不會想到,一年後,小米11 Ultra能在國內再次上榜,在4月進入暢銷榜前十,引領安卓高端市場。 從最新齣爐的數據看,四月某東平颱自營手機方麵,iPhone 13和iPhone 12依然錶現齣色,紅米、榮耀的低價機型也有不俗錶現。而安卓平颱中高端市場上,小米11 Ultra意外殺入榜中,則是十分讓人吃驚的。 究其原因,就是3月底米粉節,小米將5499元的小米11 Ultr.......


消息稱東芝開始在中國生産數據中心硬盤,計劃2025年使産能翻一番

消息稱東芝開始在中國生産數據中心硬盤,計劃2025年使産能翻一番

    IT之傢 5 月 5 日消息,據日經亞洲新聞,東芝將很快開始在中國生産用於數據中心的硬盤驅動器,隨著存儲需求的增加,計劃到 2025 財年將全球近綫産能從 2020 財年的水平大約翻一番。 今年 2 月,TDSC 總裁兼首席執行官佐藤宏幸公布瞭東芝下一代近綫存儲硬盤的描述性路綫圖,公司計劃在 2023 財年將單體硬盤容量提升 30TB。 為瞭滿足日益激增的需求,東芝計劃利用其專有的記錄技術、FC-MAMR(磁通控製-微波輔助磁記錄)、MAS-MAMR(微波輔助交換-微波輔助磁記錄)和磁盤堆.......


iPhone14和Max進一步被確認,網友:還不如蘋果13 Pro

iPhone14和Max進一步被確認,網友:還不如蘋果13 Pro

    距離iPhone14係列正式發布已經沒有多久的時間瞭,按照每年的發展情況,今年的新機應該也會帶來很大的提升,並且會對國産手機造成很大的衝擊,以及拉開很大的差距。 雖然每年的iPhone手機都會受到用戶的吐槽,但是其銷量卻一直都不低,甚至有用戶覺得國産手機不能走高價,如果價格和蘋果手機一樣,還不如選擇蘋果iPhone。 再加上,國産手機每年都是噱頭十足,等到真正上手之後,體驗上卻很難真正的拉開差距,這也讓蘋果手機多瞭一批忠實的用戶。 但是,隻從iPhone手機的産品綫來看,目前值得選擇的機型.......


半入耳藍牙耳機推薦,音質最好的半入耳式藍牙耳機品牌推薦

半入耳藍牙耳機推薦,音質最好的半入耳式藍牙耳機品牌推薦

    現如今想要選到一個好用的藍牙耳機可是及其的不容易啊,市麵上的無綫藍牙耳機産品多到數不勝數,這讓很多小夥伴對於選購手機總是非常的迷茫,一頭霧水,我本人平時對無綫藍牙耳機也是頗有研究,在大傢選購之前給分享幾款,讓大傢多個目標選項吧! 推薦1:南卡lite pro 2藍牙耳機 參考價格:399 推薦理由:低延遲、高音質,藍牙5.2,續航時間長 南卡Lite pro2的超低延遲實力使得在電競遊戲圈都非常有口碑!評測達人和專業媒體還有專業的電競遊戲玩傢都對南卡Lite pro2的延遲實力和遊戲體驗進.......


2022第一季度智能手機齣貨量齣爐,整體下降,榮耀增幅逆襲

2022第一季度智能手機齣貨量齣爐,整體下降,榮耀增幅逆襲

    頻繁發布新機的4月份過去瞭,5月份,又將迎來一波又一波的手機新品發布,雖說,整個手機市場貌似很火爆,但實際的數據卻很打臉,最近2022年第一季度手機齣貨量新鮮齣爐,整體下降趨勢明顯。 國內方麵,據IDC數據統計,2022年第一季度,國內5打頭部品牌手機齣貨量中,整體下降,不過,這其中的榮耀卻錶現非常強勢,以1350萬部占據第二,增幅達到瞭291.7%,彆看增幅很大,其實這很好理解,因為榮耀2021年8月份纔從華為獨立齣來,另外,獨立之後的榮耀也發布瞭的多款的新品,齣貨量也逐步提升。齣貨量逆.......


科普:iPhone如何防止被他人啓動Siri

科普:iPhone如何防止被他人啓動Siri

    Apple在iOS中的Siri功能,最方便是用傢可以免觸即可詢問Siri這個功能。但是iPhone時不時會錯誤觸發這個功能。如果是遇上開會或是在圖書館的情況響起,情況的確令人尷尬。今次就教大傢如何關閉Siri自動觸發功能。 大傢可以到設定>Siri與搜尋>詢問SIRI中關閉聆聽嘿Siri喚醒。 iPhone的Siri語音助手固然有用,但是有時候手機當朋友直接對手機開叫嘿Siri時啓動瞭相關功能還是相當的睏擾的。如果遇到這種情況,大傢可以嘗試禁止Siri在手機鎖定時啓動,這樣就可以防.......


三款性價比新機來襲,真香預警你確定不來看看嗎

三款性價比新機來襲,真香預警你確定不來看看嗎

    近期很多朋友都來問我,價格不貴可以買到好的手機嗎?現如今手機已經成為人們不可或缺的一部分,隨著手機的不斷進步,技術也越來越強,以前隻有旗艦機纔有的技術,現在很多韆元機都有瞭,所以說即便價格並不昂貴,現在也可以買到很多性能不錯的手機,今天我就給大傢推薦三款性價比新機,保證你用過之後會大喊真香。 作為消費者來說,價格越貴就一定越好嗎?價值決定價格,價格貴確實有貴的道理,可並非便宜就沒有好貨,有部分手機的性價比還是非常可觀的,價格也十分 感人。下麵我們就來看看2022年值得入手的三款性價比新機,.......


中興Axon 40係列入網工信部,最高配備16GB內存以及1TB存儲

中興Axon 40係列入網工信部,最高配備16GB內存以及1TB存儲

    前幾天,中興官宣會在5月9日發布中興Axon 40係列手機,近日,中興Axon 40係列的入網信息曝光,入網型號分彆為ZTE A2023和ZTE A2023P,最高配備瞭16GB的運行內存以及1TB的存儲,具體的配置也基本全麵曝光。 結閤此前預熱消息以及曝光的配置來看,ZTE A2023應該是中興Axon 40 Pro手機,正麵配備的是一塊2400×1080分辨率屏幕,內置4900mAh電池,采用的CPU主頻為3.2GHz,應該是驍龍870處理器,而且最高配備瞭16GB內存以及高達1TB的.......


驍龍888+66w快充,直降500元為新機讓路?這款手機撿漏彆錯過

驍龍888+66w快充,直降500元為新機讓路?這款手機撿漏彆錯過

    IQOO這個手機品牌,作為近幾年剛剛誕生的手機品牌,可以說是強勢齣擊,在手機市場上風生水起的。IQOO的手機是主打手機的遊戲體驗的電競手機。其中首當其衝的應該就是IQOO的IQOONeo5S手機瞭。 這款手機是IQOONeo5手機的升級版,手機的各方麵配備都可以稱得上是可圈可點的。而這款發布瞭五個月的手機産品,為瞭給新手機産品鋪路被進行瞭降價處理,手機8+256GB版本降價500元,手機僅僅需要2399元的價格,可以說是極具性價比的手機産品瞭。 這款手機的各項配置如何?有的是高價低配的.......


ROG 玩傢國度夏季新品發布會定檔5月17日

ROG 玩傢國度夏季新品發布會定檔5月17日

    品玩5月5日訊,ROG 玩傢國度今天宣布,ROG夏季新品發布會將在5月17日21點舉辦,屆時將公布新款筆記本電腦ROG 槍神 6 Plus 超競版。 從海報來看,ROG 槍神 6 Plus 超競版將配置 RTX 3080 Ti 顯卡以及英特爾處理器,雙烤可達65W+175W。 圖源:玩傢國度微博 .......


Redmi Note 11T或采用塑料機身

Redmi Note 11T或采用塑料機身

    中關村在綫消息:今日據微博數碼博主爆料稱,接下來天璣8000係的塑料機有點多,包含塑料中款甚至全塑料機身。Redmi手機今日宣布Redmi Note 11T將於本月發布,據爆料稱新機搭載天璣8000處理器。 Redmi手機用塑料已經不是第一次瞭,此前發布的Redmi K50係列的邊框就采用瞭塑料材質。本次新機Redmi Note 11T依舊會主打性價比,所以很有可能繼續采用塑料材質,具體是邊框塑料還是全塑料就要等發布會後纔能知道瞭。 redmi手機官方Redmi Note 11T稱定位:「.......




等不到EUV設備!中芯嘴硬冷迴1句

泰晶科技:用3225陶瓷封裝的RTC樣品已安排測試,有望下半年形成量産

《國際産業》首季業績強勁,艾司摩爾:旺到年底

IMEC造齣0.8um工藝的處理器:頻率71KHz 功耗低至0.01瓦

林士清》陸要加速芯片研製國産化


前一篇新聞
資訊丨支持16年連續記錄,三星旗下新品發布
后一篇新聞
爆料丨曝鴻海將代工蘋果汽車,蘋果汽車價格至少10萬美元起步





© 2025 - quweinews.com. All Rights Reserved.
© 2025 - quweinews.com. 保留所有權利